@article {18049, title = {Fault-Tolerant Network Interfaces for Networks-on-Chip}, journal = {IEEE Trans. Dependable Secur. Comput.}, volume = {11}, issue = {1}, year = {2014}, month = {01/2014}, pages = {16{\textendash}29}, keywords = {fault tolerance, high-level error models, network interface, Networks-on-chip, online fault detection, reliability}, issn = {1545-5971}, doi = {10.1109/TDSC.2013.28}, author = {Fiorin, Leandro and Sami, Mariagiovanna} } @inbook {18053, title = {Towards a Reliability-aware Design Flow for Kahn Process Networks on NoC-based Multiprocessors}, booktitle = {10th Workshop on Dependability and Fault Tolerance (ARCS/VERFE{\textquoteright}14)}, series = {Lecture Notes on Computer Science}, year = {2014}, publisher = {Springer}, organization = {Springer}, address = {L{\"u}beck, Germany}, abstract = {In order to satisfy performance and low power requirements of applications, embedded systems are becoming increasingly complex and highly integrated with various types of cores. As complexity increases and CMOS technology scales down into the deep-submicron domain, the rate of hard and soft faults in such systems increases. Such trend requires the reliability aspect to be incorporated as a design goal along with the more conventional goals such as performance, cost and power. In this paper, we investigate the reliability achieved by two system-level fault tolerance techniques, namely online task remapping and N-modular redundancy. By means of an analytical model of applications represented as Kahn Process Networks running on heterogeneous multiprocessors based on Networks-on-Chip, we evaluate these techniques with respect to the obtained level of reliability (mean-time-to-failure) and the overhead in computation (execution time) and communication (amount of data transfer on the network). By presenting a reliability estimation method, we enable a reliability-aware design flow on NoC-based MPSoCs.}, keywords = {fault tolerance, kahn process networks (KPN), networks-on-chip (NoC), reliability}, author = {Derin, Onur and Fiorin, Leandro} } @article {18048, title = {A Configurable Monitoring Infrastructure for NoC-Based Architectures}, journal = {IEEE Transactions on Very Large Scale Integration (VLSI) Systems}, volume = {PP}, issue = {99}, year = {2013}, abstract = {In this brief, we propose a monitoring architecture for networks-on-chip that provides system information useful for designers to efficiently exploit, at design time and run-time, the system resources available in multiprocessor system-on-chip platforms. We focus on the analysis of the architectural details and design challenges of such a system, by describing powerful tools for monitoring information that can be used both at run-time for detecting dynamic changes in system behavior and at post-execution time for debugging and profiling of applications. This brief describes the design of the monitoring probes, together with the events detectable by them, and discusses an architecture for collecting, storing, and analyzing the information gathered during an application execution.}, keywords = {hardware counters, networks-on-chip (NoCs), performance monitoring, systems-on-chip (SoCs).}, issn = {1063-8210}, doi = {10.1109/TVLSI.2013.2290102}, author = {Fiorin, Leandro and Palermo, Gianluca and Silvano, Cristina} } @article {18050, title = {A system-level approach to adaptivity and fault-tolerance in NoC-based MPSoCs: The MADNESS project.}, journal = {Microprocessors and Microsystems - Embedded Hardware Design}, volume = {37}, issue = {6-7}, year = {2013}, pages = {515{\textendash}529}, doi = {10.1016/j.micpro.2013.07.007}, author = {Derin, Onur and Cannella, Emanuele and Tuveri, Giuseppe and Meloni, Paolo and Stefanov, Todor and Fiorin, Leandro and Raffo, Luigi and Sami, Mariagiovanna} } @conference {17577, title = {Security Enhanced Linux on Embedded Systems: a Hardware-accelerated Implementation}, booktitle = {17th Asia and South Pacific Design Automation Conference, ASP-DAC 2012}, year = {2012}, month = {02/2012}, address = {Sydney, Australia}, abstract = {Security Enhanced Linux implements fine-grained mandatory access control. Despite its usefulness, the overhead of implementing it on embedded devices is prohibitive. Therefore, in the past it has been proposed to accelerate SELinux by means of dedicated hardware; in this work we demonstrate the feasibility of such an approach by implementing a hardware accelerator for SELinux on a FPGA-based platform. Our implementation obtains a huge reduction in the performance overhead and energy consumption of SELinux, yet employing a limited chip area.}, keywords = {authorisation, dedicated hardware, embedded systems, energy consumption, field programmable gate arrays, fine-grained mandatory access control, FPGA-based platform, hardware accelerator, hardware-accelerated implementation, linux, performance overhead reduction, security enhanced Linux}, doi = {10.1109/ASPDAC.2012.6164960}, author = {Fiorin, Leandro and Ferrante, Alberto and Padarnitsas, Konstantinos and Regazzoni, Francesco} } @conference {17737, title = {System Adaptivity and Fault-tolerance in NoC-based MPSoCs: the MADNESS Project Approach}, booktitle = {Proceedings of the 15th EUROMICRO Conference on Digital System Design Architectures, Methods and Tools (DSD{\textquoteright}12)}, year = {2012}, month = {September 5-8}, address = {Izmir, Turkey}, abstract = {Modern embedded systems increasingly require adaptive run-time management. The system may adapt the mapping of the applications in order to accommodate the current workload conditions, to balance load for efficient resource utilization, to meet quality of service agreements, to avoid thermal hot-spots and to reduce power consumption. As the possibility of experiencing run-time faults becomes increasingly relevant with deep-sub-micron technology nodes, in the scope of the MADNESS project, we focus particularly on the problem of graceful degradation by dynamic remapping in presence of run-time faults. In this paper, we summarize the major results achieved in the MADNESS project until now regarding the system adaptivity and fault tolerant processing. We report the first results of the integration between platform level and middleware level support for adaptivity and fault tolerance. A case study demonstrates the survival ability of the platform via a low-overhead process migration mechanism and a near-optimal online remapping heuristic.}, keywords = {fault tolerance, kahn process networks (KPN), middleware, network-on-chip (NoC), process migration, system adaptivity}, doi = {http://dx.doi.org/10.1109/DSD.2012.122}, author = {Meloni, Paolo and Tuveri, Giuseppe and Raffo, Luigi and Cannella, Emanuele and Stefanov, Todor and Derin, Onur and Fiorin, Leandro and Sami, Mariagiovanna} } @conference {151.FiMiSa11, title = {Design of Fault Tolerant Network Interfaces for NoCs}, booktitle = {Proceedings of 14th EUROMICRO Conference on Digital System Design Architectures, Methods and Tools (DSD{\textquoteright}11)}, year = {2011}, month = {September}, address = {Oulu, Finland}, keywords = {fault tolerance, network interface, network-on-chip (NoC), system-on-chip (SoC)}, doi = {http://dx.doi.org/10.1109/DSD.2011.54}, author = {Fiorin, Leandro and Micconi, Laura and Sami, Mariagiovanna} } @article {146.DeDiFi11.IJRC, title = {A Middleware Approach to Achieving Fault-tolerance of Kahn Process Networks on Networks-on-Chips}, journal = {International Journal of Reconfigurable Computing}, volume = {2011}, number = {Article ID 295385}, year = {2011}, note = {Selected Papers from the International Workshop on Reconfigurable Communication-centric Systems on Chips (ReCoSoC{\textquoteright} 2010)}, month = {February}, pages = {14 pages}, publisher = {Hindawi}, abstract = {Kahn process networks (KPN) is a distributed model of computation used for describing systems where streams of data are transformed by processes executing in sequence or parallel. Autonomous processes communicate through unbounded FIFO channels in absence of a global scheduler. In this work, we propose a task-aware middleware concept that allows adaptivity in KPN implemented over a Network-on-Chip (NoC). We also list our ideas on the development of a simulation platform as an initial step towards creating fault-tolerance strategies for KPNs applications running on NoCs. In doing that, we extend our SACRE (Self-adaptive Component Run-time Environment) framework by integrating it with an open source NoC simulator, Noxim. We evaluate the overhead that the middleware brings to the the total execution time and to the total amount of data transferred in the NoC. With this work, we also provide a methodology that can help in identifying the requirements and implementing fault tolerance and adaptivity support on real platforms.}, keywords = {fault tolerance, kahn process networks (KPN), middleware, network-on-chip (NoC), self-adaptivity}, issn = {1687-7195}, doi = {doi:10.1155/2011/295385}, author = {Derin, Onur and Diken, Erkan and Fiorin, Leandro} } @conference {148.DeKaFi11.NOCS, title = {Online Task Remapping Strategies for Fault-tolerant Network-on-Chip Multiprocessors}, booktitle = {NOCS {\textquoteright}11: Proceedings of the Fifth ACM/IEEE International Symposium on Networks-on-Chip}, year = {2011}, month = {05/2011}, pages = {1{\textendash}8}, address = {Pittsburgh, Pennsylvania, USA}, abstract = {As CMOS technology scales down into the deep submicron domain, the aspects of fault tolerance in complex Networks-on-Chip (NoCs) architectures are assuming an increasing relevance. Task remapping is a software based solution for dealing with permanent failures in processing elements in the NoC. In this work, we formulate the optimal task mapping problem for mesh-based NoC multiprocessors with deterministic routing as an integer linear programming (ILP) problem with the objective of minimizing the communication traffic in the system and the total execution time of the application. We find the optimal mappings at design time for all scenarios where single-faults occur in the processing nodes. We propose heuristics for the online task remapping problem and compare their performances with the optimal solutions.}, keywords = {adaptivity, fault tolerance, kahn process networks (KPN), mapping, network-on-chip (NoC), self-adaptivity}, doi = {http://dx.doi.org/10.1145/1999946.1999967}, author = {Derin, Onur and Kabakci, Deniz and Fiorin, Leandro} } @conference {17740, title = {Towards an ESL design framework for adaptive and fault-tolerant MPSoCs: MADNESS or not?}, booktitle = {Embedded Systems for Real-Time Multimedia (ESTIMedia), 2011 9th IEEE Symposium on}, year = {2011}, abstract = {The MADNESS project aims at the definition of innovative system-level design methodologies for embedded MP-SoCs, extending the classic concept of design space exploration in multi-application domains to cope with high heterogeneity, technology scaling and system reliability. The main goal of the project is to provide a framework able to guide designers and researchers to the optimal composition of embedded MPSoC architectures, according to the requirements and the features of a given target application field. The proposed approach will tackle the new challenges, related to both architecture and design methodologies, arising with the technology scaling, the system reliability and the ever-growing computational needs of modern applications. The methodologies proposed with this project act at different levels of the design flow, enhancing the state-of-the art with novel features in system-level synthesis, architectural evaluation and prototyping. Support for fault resilience and efficient adaptive runtime management is introduced at hardware and middleware level, and considered by the system-level synthesis as one of the optimization factors to be taken into account. This paper presents the first stable results obtained in the MADNESS project, already demonstrating the effectiveness of the proposed methods.}, keywords = {adaptive MPSoC, adaptive runtime management, computer architecture, embedded MPSoC architectures, emulation, ESL design framework, fault resilience, fault tolerance, fault tolerant MPSoC, field programmable gate arrays, hardware, integrated circuit reliability, libraries, MADNESS project, middleware, multiprocessing systems, network synthesis, program processors, system level design methodologies, system level synthesis, system reliability, system-on-chip (SoC)}, doi = {10.1109/ESTIMedia.2011.6088518}, author = {Cannella, Emanuele and Di Gregorio, Lorenzo and Fiorin, Leandro and Lindwer, Menno and Meloni, Paolo and Neugebauer, Olaf and Pimentel, Andy} } @conference {134.FiFePaCa10, title = {Hardware-assisted Security Enhanced Linux in Embedded Systems: a Proposal}, booktitle = {Proceedings of the 5th Workshop on Embedded Systems Security (WESS{\textquoteright}2010)}, year = {2010}, month = {October 24}, address = {Scottsdale, Arizona, USA}, abstract = {As computing and communications increasingly pervade our lives, security and protection of sensitive data and systems are emerging as extremely important issues. This is especially true for embedded systems, often operating in non-secure environments, and with limited amount of computational, storage, and communication resources available. In servers and desktop systems, Security Enhanced Linux (SELinux) is currently used as a method to enhance security by enforcing a security control based on policies that confine user programs, or processes, to the minimum amount of privileges that they require for their execution. While providing a powerful mean for enhancing security in UNIX-like systems, SELinux still remains a feature that is too heavy to be fully supported by constrained devices. In this paper, we propose a hardware architecture for enhancing security and accelerating retrieval and applications of SELinux policies in embedded processors. We describe the general ideas be hind our work, discussing motivations, advantages, and limits of the solution proposed, while suggesting the main steps needed to implement the described architecture on common embedded processors.}, keywords = {access controls, embedded systems, SELinux}, author = {Fiorin, Leandro and Ferrante, Alberto and Padarnitsas, Konstantinos and Carucci, Stefano} } @conference {136.FiPaSi10, title = {A Monitoring System for NoCs}, booktitle = {Proceedings of the Third International Workshop on Network on Chip Architectures (NoCArc{\textquoteright}2010)}, year = {2010}, month = {December}, address = {Atlanta, Georgia, USA}, abstract = {In this paper, we propose and discuss a monitoring architecture for Networks-on-Chip (NoCs) that provides system information useful for helping designers in efficiently exploiting resources available in new complex Multiprocessor System-on-Chip (MPSoC) platforms, and in understanding their behavior. We focus on the analysis of the architectural details and design challenges of such systems, by describing power- ful tools for detecting information that can be used both at run-time for detecting dynamic changes in system behavior and at post-execution time for debugging and profiling of applications. We detail the design of the probes monitoring the events and discuss an architecture for collection, storage, and analysis of information generated by them. We evaluate cost of the implementation of the system in terms of area and traffic overhead, and we present results obtained when monitoring a use-case multimedia application.}, keywords = {hardware counters, network-on-chip (NoC), performance monitoring, system-on-chip (SoC)}, author = {Fiorin, Leandro and Palermo, Gianluca and Silvano, Cristina} } @conference {123.LuPeFi10, title = {Stack Protection Unit as a step towards securing MPSoCs}, booktitle = {Proceedings of 24th IEEE International Parallel and Distributed Processing Symposium (IPDPS)}, year = {2010}, month = {April 19-23}, address = {Atlanta, USA}, abstract = {Reconfigurable technologies are getting popular as an instrument for not only verification and prototyping but also commercial implementation of Multi-Processor Systems-on-Chip (MPSoC) architectures. At the same time, these systems in particular Networks-on-Chip (NoCs) based ones, have emerged as a design strategy to cope with increased requirements and complexity of modern applications. Nevertheless, increasing heterogeneity coupled with possibility of reconfiguration makes security become one of major concerns in MPSoC design. Protection strategies must consider attack scenarios at both levels - individual cores and system level security. This work represents an element in a wider security framework, it shows a solution against one of the most widespread types of attacks - code injection. Our response to tackle this challenge is given in form of Stack Protection Unit (SPU) embedded into processing core. MicroBlaze soft-core processor serves as a case study for verification of the proposed solution in FPGA technology.}, keywords = {FPGA, microblaze, security, stack protection unit}, doi = {http://dx.doi.org/10.1109/IPDPSW.2010.5470728}, author = {Lukovi{\'c}, Slobodan and Pezzino, Paolo and Fiorin, Leandro} } @conference {95.FiPaSi09, title = {MPSoCs Run-Time Monitoring through Networks-on-Chip}, booktitle = {The 2009 Conference on Design, Automation and Test In Europe (DATE{\textquoteright}09)}, year = {2009}, month = {April/2009}, address = {Nice, France}, abstract = {Networks-on-Chip (NoCs) have appeared as design strategy to overcome the limitations, in terms of scalability, efficiency, and power consumption of current buses. In this paper, we discuss the idea of using NoCs to monitor system behaviour at run-time by tracing activities at initiators and targets. Main goal of the monitoring system is to retrieve information useful for run-time optimization and resources allocation in adaptive systems. Information detected by probes embedded within NIs is sent to a central unit, in charge of collecting and elaborating the data. We detail the design of the basic blocks and analyse the overhead associated with the ASIC implementation of the monitoring system, as well as discussing implications in terms of the additional traffic generated in the NoC.}, keywords = {monitoring, network-on-chip (NoC)}, author = {Fiorin, Leandro and Palermo, Gianluca and Silvano, Cristina} } @Patent {87.pat07301411.0-2413PATENT, title = {Programmable data protection device, secure programming manager system and process for controlling access to an interconnect network for an integrated circuit}, number = {EP 20070301411}, year = {2009}, month = {04/2009}, type = {Application}, chapter = {EP 2043324 A1}, abstract = {A data protection device for an interconnect network on chip (NoC) includes a header encoder that receives input requests for generating network packets. The encoder routes the input requests to a destination address. An access control unit controls and allows access to the destination address. The access control unit uses a memory to store access rules for controlling access to the network as a function of the destination address and of a source of the input request.}, issn = {EP 2043324 A1}, author = {Fiorin, Leandro and Palermo, Gianluca and Silvano, Cristina and Catalano, Valerio and Locatelli, Riccardo and Coppola, Marcello} } @inbook {92.FiPaSi09.2, title = {Security in NoC}, booktitle = {Networks-on-Chips: Theory and Practice}, year = {2009}, pages = {157-194}, publisher = {Taylor and Francis Group, LLC - CRC Press}, organization = {Taylor and Francis Group, LLC - CRC Press}, abstract = {Future integrated systems will contain billion of transistors, composing tens to hundreds of IP cores. These IP cores, implementing emerging complex multimedia and network ap- plications, should be able to deliver rich multimedia and networking services. An efficient cooperation among these IP cores (e.g., efficient data transfers) can be achieved through utilization of the available resources. The design of such complex systems includes several challenges to be addressed. Among others one challenge is to design an on-chip interconnection network that should be able to efficiently connect the IP cores. Another challenge is to derive such an application mapping that will make efficient usage of the available hardware resources . An architecture that is able to accommodate such a high number of cores, satisfying the need for commu- nication and data transfers, is the Network-on-Chip (NoC) architecture. For these reasons Networks-on-Chip become a popular choice for designing the on-chip interconnect for Systems-on-Chip (MPSoCs), and are supported from the industry (such as the Ethereal NoC from Philips, the STNoC from STMicroelectronics and an 80-core NoC from Intel). As it is presented in , the key design challenges of emerging NoC design are a) the communication infrastructure, b) the communication paradigm selection and c) the application mapping optimization.}, keywords = {network-on-chip (NoC), security}, author = {Fiorin, Leandro and Palermo, Gianluca and Silvano, Cristina and Elmiligi, Haytham}, editor = {Gebali, Fayez and El-Kharashi, Watheq} } @conference {80.LuFi08, title = {An Automated Design Flow for NoC-based MPSoCs on FPGA}, booktitle = {RSP 2008, The 19th IEEE/IFIP International Symposium on Rapid System Prototyping}, year = {2008}, month = {June 2-5}, address = {Monterey, USA/CA}, abstract = {Increased dynamics of the embedded devices market makes reduced time-to-market emerge as one of most challenging tasks in modern embedded system design. The complexity of Multiprocessor Systems-on-Chip (MPSoCs) rapidly increases and Networks-on-Chips (NoCs) have emerged as design strategy to cope with it. In order to allow fast generation of these platforms in the development phase, a full design flow is required. On the other hand, modern FPGAs provide the possibility for fast and low-cost prototyping, representing an efficient response to these needs. In this paper we present a framework, based on the Xilinx Embedded Development Kit (EDK) design flow, for the generation of MPSoCs based on NoCs. The tool provides system designers with the possibility to easily and quickly generate desired architectures that can be helpful for testing, debugging and verifying purposes. Our integrated design flow takes as input a textual description of the system and produces as final result a configuration bitstream file. The framework has been tested and verified on a Xilinx Virtex-II Pro board.}, keywords = {FPGA, multiprocessor system-on-chip (MPSoC), network-on-chip (NoC), reconfigurable systems, security}, doi = {http://dx.doi.org/10.1109/RSP.2008.31}, author = {Lukovi{\'c}, Slobodan and Fiorin, Leandro} } @conference {76.FiLuPa08, title = {Implementation of a Reconfigurable Data Protection Module for NoC-based MPSoCs}, booktitle = {Proceedings of the 21st IPDPS 2007 Reconfigurable Architecture Workshop (RAW), 21th IEEE International Parallel and Distributed Processing Symposium}, year = {2008}, month = {April}, address = {Miami, USA/FL}, abstract = {Security issues are emerging to be a basic concern in modern SoC development. Since in the field of on-chip interconnections the security problem continues to remain mostly an unexplored topic, this paper proposes a novel technique for data protection that uses the communication subsystem as basis. The proposed architecture works as a firewall managing the memory accesses on the basis of a lookup table containing the access rights. This module, called Data Protection Unit (DPU), has been designed for MPSoC architectures and integrated in the Network Interfaces near the shared memory. We implement the DPU inside an MPSoC architecture on FPGA and we add features to the module to be aware of dynamic reconfiguration of the system software. Starting from a general overview of our design down to components structure, we introduce the place and the role of the DPU module inside the system for a reconfigurable secure implementation of a MPSoC on FPGA. The description of the DPU concept, its implementation, and integration into the system are described in detail. Finally, the architecture is fully implemented on FPGA and tested on a Xilinx Virtex-II Pro board.}, keywords = {data protection, FPGA, multiprocessor system-on-chip (MPSoC), network-on-chip (NoC), reconfigurable systems, security}, doi = {http://dx.doi.org/10.1109/IPDPS.2008.4536514}, author = {Fiorin, Leandro and Lukovi{\'c}, Slobodan and Palermo, Gianluca} } @article {84.AlGaSte2008, title = {Secure Memory Accesses on Networks-on-Chip}, journal = {IEEE Transactions on Computers}, volume = {57}, number = {9}, year = {2008}, month = {September}, pages = {1216-1229}, abstract = {Security is gaining relevance in the development of embedded devices. Towards a secure system at each level of design, this paper addresses security aspects related to Network on Chip (NoC) architectures, foreseen as the communication infrastructure of next-generation embedded devices. In the context of NoC-based multiprocessor systems, we focus on the topic, not yet thoroughly faced, of data protection. In this paper, we present a secure NoC architecture composed of a set of Data Protection Units (DPUs) implemented within the Network Interfaces (NIs)1. The run-time configuration of the programmable part of the DPUs is managed by a central unit, the Network Security Manager (NSM). The DPU, similar to a firewall, can check and limit the access rights (none, read, write, or both) of processors accessing data and instructions in a shared memory. In particular, the DPU can distinguish between the operating roles (supervisor/user and secure/non secure) of the processing elements.We explore alternative implementations of the DPU and demonstrate how this unit does not affect the network latency if the memory request has the appropriate rights. We also focus on the dynamic updating of the DPUs to support their utilization in dynamic environments, and on the utilization of authentication techniques to increase the level of security.}, keywords = {data protection, embedded systems, multiprocessor system-on-chip (MPSoC), network-on-chip (NoC), security}, doi = {http://dx.doi.org/10.1109/TC.2008.69}, author = {Fiorin, Leandro and Palermo, Gianluca and Lukovi{\'c}, Slobodan and Catalano, Valerio and Silvano, Cristina} } @conference {88.FiPaSi08, title = {A Security Monitoring Service for NoCs}, booktitle = {Sixth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS{\textquoteright}08)}, year = {2008}, month = {10/2008}, address = {Atlanta, Georgia, USA.}, abstract = {As computing and communications increasingly pervade our lives, security and protection of sensitive data and systems are emerging as extremely important issues. Networks-on- Chip (NoCs) have appeared as design strategy to cope with the rapid increase in complexity of Multiprocessor Systems- on-Chip (MPSoCs), but only recently research community have addressed security on NoC-based architectures. In this paper, we present a monitoring system for NoC based architectures, whose goal is to help detect security violations carried out against the system.Information col- lected are sent to a central unit for efficiently counteracting actions performed by attackers.We detail the design of the basic blocks and analyse overhead associated with the ASIC implementation of the monitoring system, discussing type of security threats that it can help detect and counteract.}, keywords = {embedded systems, multiprocessor system-on-chip (MPSoC), network-on-chip (NoC), security}, doi = {http://dx.doi.org/10.1145/1450135.1450180}, author = {Fiorin, Leandro and Palermo, Gianluca and Silvano, Cristina} } @conference {68.FiPaLuSi07, title = {A Data protection Unit for NoC-based Architecture}, booktitle = {CODES+ISSS 2007, in proceedings of the Fifth IEEE/ACM/FIP International Conference of Hardware/Software Codesign and System Synthesis (CODES+ISSS 2007)}, year = {2007}, month = {September 30}, address = {Salzburg, Austria}, abstract = {Security is gaining increasing relevance in the development of embedded devices. Towards a secure system at each level of design, this paper addresses the security aspects related to Network-on-Chip (NoC) architectures, foreseen as the communication infrastructure of next generation embedded devices. In the context of NoC-based Multiprocessor systems, we focus on the topic, not thoroughly faced yet, of data protection. We present the architecture of a Data Protection Unit (DPU) designed for implementation within the Network Interface (NI). The DPU supports the capability to check and limit the access rights(none, read, write or both) of processors requesting access to data locations in a shared memory - in particular distinguishing between the operating roles (supervisor or user) of processing elements. We explore different alternative implementations and demonstrate how the DPU unit does not affect the network latency if the memory request has the appropriate rights. In the experimental section we show synthesis results for different ASIC implementations of the Data Protection Unit.}, keywords = {data protection, embedded systems, multiprocessor system-on-chip (MPSoC), network-on-chip (NoC), security}, doi = {http://dx.doi.org/10.1145/1289816.1289858}, author = {Fiorin, Leandro and Palermo, Gianluca and Lukovi{\'c}, Slobodan and Silvano, Cristina} } @conference {60.FiSiSa07, title = {Security Aspects in Networks-on-Chips: Overview and Proposals for Secure Implementations}, booktitle = {DSD07, in proceedings of 10th EUROMICRO Conference on Digital System Design Architectures, Methods and Tools (DSD 07)}, year = {2007}, month = {August 29-31}, address = {L{\"u}beck, Germany}, abstract = {Security has gained increasing relevance in the development of embedded devices. Towards the aim of a secure system at each level of the design, in this paper we address security aspects related to Networks-on-Chips (NoCs) architectures. After presenting the attacks most likely to address NoCs, we survey existing academic and industrial secure architectures relevant to our case, focusing in particular on their communication infrastructure. We outline and propose possible solutions to contrast some of the attacks described and suggest the use of the NoC as a mean to monitor and detect unexpected system behaviors.}, keywords = {embedded systems, multiprocessor system-on-chip (MPSoC), network-on-chip (NoC), security}, doi = {http://dx.doi.org/10.1109/DSD.2007.4341520}, author = {Fiorin, Leandro and Silvano, Cristina and Sami, Mariagiovanna} }